MYZR-IMX6-CB336 硬件介绍

来自明远智睿的wiki
Admin讨论 | 贡献2016年11月8日 (二) 16:57的版本 (创建页面,内容为“== '''管脚定义''' == {| class="wikitable" |- ! 序号 || 连接点 || 对应的CPU引脚 || 引脚的信号名 || 引脚的默认功能 || 引脚所属的接口...”)

(差异) ←上一版本 | 最后版本 (差异) | 下一版本→ (差异)
跳转至: 导航搜索

管脚定义

序号 连接点 对应的CPU引脚 引脚的信号名 引脚的默认功能 引脚所属的接口
<thead> </thead> <tbody> </tbody>
1 G23 5VIN 5VIN 5VIN 5VIN
2 G24 5VIN 5VIN 5VIN 5VIN
-
3 H23 5VIN 5VIN 5VIN 5VIN
-
4 J23 5VIN 5VIN 5VIN 5VIN
-
5 J24 5VIN 5VIN 5VIN 5VIN
-
6 H24 5VIN 5VIN 5VIN 5VIN
-
7 V1 CSI0_DAT4 AUD3_TXC AUD3_TXC AUDMUX
-
8 V2 CSI0_DAT5 AUD3_TXD AUD3_TXD AUDMUX
-
9 V3 CSI0_DAT7 AUD3_RXD AUD3_RXD AUDMUX
-
10 W1 CSI0_DAT6 AUD3_TXFS AUD3_TXFS AUDMUX
-
11 W2 GPIO_0 GPIO_0_CLKO CCM_CLKO1 CCM
-
12 AA26 EIM_D17 CSPI1_MISO ECSPI1_MISO ECSPI1
-
13 AB26 EIM_D16 CSPI1_CLK ECSPI1_SCLK ECSPI1
-
14 AB27 EIM_D18 CSPI1_MOSI ECSPI1_MOSI ECSPI1
-
15 W26 EIM_CS0 CSPI2_CLK ECSPI2_SCLK ECSPI2
-
16 W27 EIM_CS1 CSPI2_MOSI ECSPI2_MOSI ECSPI2
-
17 Y25 EIM_OE CSPI2_MISO ECSPI2_MISO ECSPI2
-
18 A25 RGMII_TD0 RGMII_TD0 RGMII_TD0 ENET
-
19 A26 RGMII_RX_CTL RGMII_RX_CTL RGMII_RX_CTL ENET
-
20 A27 RGMII_TX_CTL RGMII_TX_CTL RGMII_TX_CTL ENET
-
21 B25 RGMII_RD3 RGMII_RD3 RGMII_RD3 ENET
-
22 B26 RGMII_RD0 RGMII_RD0 RGMII_RD0 ENET
-
23 B27 RGMII_TD2 RGMII_TD2 RGMII_TD2 ENET
-
24 C25 RGMII_RXC RGMII_RXC RGMII_RXC ENET
-
25 C26 RGMII_RD2 RGMII_RD2 RGMII_RD2 ENET
-
26 C27 RGMII_TD3 RGMII_TD3 RGMII_TD3 ENET
-
27 D25 RGMII_TD1 RGMII_TD1 RGMII_TD1 ENET
-
28 D26 RGMII_TXC RGMII_TXC RGMII_TXC ENET
-
29 D27 RGMII_RD1 RGMII_RD1 RGMII_RD1 ENET
-
30 E25 ENET_MDC RGMII_MDC ENET_MDC ENET
-
31 F26 ENET_MDIO RGMII_MDIO ENET_MDIO ENET
-
32 F27 ENET_REF_CLK RGMII_REF_CLK ENET_TX_CLK ENET
-
33 Y1 GPIO_7 CAN1_TX CAN1_TX FLEXCAN1
-
34 Y2 GPIO_8 CAN1_RX CAN1_RX FLEXCAN1
-
35 AA1 KEY_ROW4 CAN2_RX CAN2_RX FLEXCAN2
-
36 AA2 KEY_COL4 CAN2_TX CAN2_TX FLEXCAN2
-
37 AA24 GND GND GND GND
-
38 AA3 GND GND GND GND
-
39 AB24 GND GND GND GND
-
40 AB3 GND GND GND GND
-
41 AC3 GND GND GND GND
-
42 AD10 GND GND GND GND
-
43 AD11 GND GND GND GND
-
44 AD12 GND GND GND GND
-
45 AD13 GND GND GND GND
-
46 AD14 GND GND GND GND
-
47 AD15 GND GND GND GND
-
48 AD16 GND GND GND GND
-
49 AD17 GND GND GND GND
-
50 AD18 GND GND GND GND
-
51 AD19 GND GND GND GND
-
52 AD20 GND GND GND GND
-
53 AD21 GND GND GND GND
-
54 AD3 GND GND GND GND
-
55 AD4 GND GND GND GND
-
56 AD5 GND GND GND GND
-
57 AD6 GND GND GND GND
-
58 AD7 GND GND GND GND
-
59 AD8 GND GND GND GND
-
60 AD9 GND GND GND GND
-
61 D10 GND GND GND GND
-
62 D11 GND GND GND GND
-
63 D12 GND GND GND GND
-
64 D13 GND GND GND GND
-
65 D14 GND GND GND GND
-
66 D16 GND GND GND GND
-
67 D17 GND GND GND GND
-
68 D18 GND GND GND GND
-
69 D19 GND GND GND GND
-
70 D20 GND GND GND GND
-
71 D21 GND GND GND GND
-
72 D22 GND GND GND GND
-
73 D23 GND GND GND GND
-
74 D24 GND GND GND GND
-
75 D4 GND GND GND GND
-
76 D5 GND GND GND GND
-
77 D7 GND GND GND GND
-
78 D8 GND GND GND GND
-
79 D9 GND GND GND GND
-
80 E17 GND GND GND GND
-
81 E18 GND GND GND GND
-
82 E19 GND GND GND GND
-
83 E20 GND GND GND GND
-
84 E21 GND GND GND GND
-
85 E22 GND GND GND GND
-
86 E23 GND GND GND GND
-
87 F23 GND GND GND GND
-
88 H3 GND GND GND GND
-
89 J3 GND GND GND GND
-
90 K23 GND GND GND GND
-
91 K24 GND GND GND GND
-
92 L23 GND GND GND GND
-
93 L24 GND GND GND GND
-
94 P24 GND GND GND GND
-
95 R24 GND GND GND GND
-
96 T24 GND GND GND GND
-
97 W3 GND GND GND GND
-
98 Y3 GND GND GND GND
-
99 A22 SD1_DAT0 SD1_DAT0 GPIO1_IO16 GPIO1
-
100 A23 SD2_CLK SD2_CLK GPIO1_IO10 GPIO1
-
101 A24 SD2_DAT0 SD2_DAT0 GPIO1_IO15 GPIO1
-
102 AB25 ENET_RX_ER GPIO1_24 GPIO1_IO24 GPIO1
-
103 AC25 ENET_TXD1 GPIO1_29 GPIO1_IO29 GPIO1
-
104 AC26 ENET_RXD0 GPIO1_27 GPIO1_IO27 GPIO1
-
105 AC27 ENET_RXD1 GPIO1_26 GPIO1_IO26 GPIO1
-
106 AD22 GPIO_5 GPIO1_5 GPIO1_IO05 GPIO1
-
107 AD23 GPIO_2 GPIO1_2 GPIO1_IO02 GPIO1
-
108 AD24 GPIO_4 GPIO1_4 GPIO1_IO04 GPIO1
-
109 AD27 ENET_TXD0 GPIO1_30 GPIO1_IO30 GPIO1
-
110 B21 SD1_DAT2 SD1_DAT2 GPIO1_IO19 GPIO1
-
111 B22 SD1_CLK SD1_CLK GPIO1_IO20 GPIO1
-
112 B23 SD2_DAT1 SD2_DAT1 GPIO1_IO14 GPIO1
-
113 B24 SD2_DAT3 SD2_DAT3 GPIO1_IO12 GPIO1
-
114 C21 SD1_CMD SD1_CMD GPIO1_IO18 GPIO1
-
115 C22 SD1_DAT1 SD1_DAT1 GPIO1_IO17 GPIO1
-
116 C23 SD2_CMD SD2_CMD GPIO1_IO11 GPIO1
-
117 C24 SD2_DAT2 SD2_DAT2 GPIO1_IO13 GPIO1
-
118 E26 ENET_TX_EN RGMII_INT GPIO1_IO28 GPIO1
-
119 E27 ENET_CRS_DV RGMII_nRST GPIO1_IO25 GPIO1
-
120 A18 NANDF_D4 GPIO2_4 GPIO2_IO04 GPIO2
-
121 A19 NANDF_D0 GPIO2_0 GPIO2_IO00 GPIO2
-
122 A20 NANDF_D6 GPIO2_6 GPIO2_IO06 GPIO2
-
123 AA25 EIM_RW CSPI2_CS0 GPIO2_IO26 GPIO2
-
124 B18 NANDF_D3 GPIO2_3 GPIO2_IO03 GPIO2
-
125 B20 NANDF_D2 GPIO2_2 GPIO2_IO02 GPIO2
-
126 C18 NANDF_D7 GPIO2_7 GPIO2_IO07 GPIO2
-
127 C19 NANDF_D5 GPIO2_5 GPIO2_IO05 GPIO2
-
128 C20 NANDF_D1 GPIO2_1 GPIO2_IO01 GPIO2
-
129 F24 EIM_EB3 EIM_EB3 GPIO2_IO31 GPIO2
-
130 J26 EIM_A20 EIM_A20 GPIO2_IO18 GPIO2
-
131 K25 EIM_A17 EIM_A17 GPIO2_IO21 GPIO2
-
132 K26 EIM_A21 EIM_A21 GPIO2_IO17 GPIO2
-
133 K27 EIM_A22 EIM_A22 GPIO2_IO16 GPIO2
-
134 L26 EIM_A16 EIM_A16 GPIO2_IO22 GPIO2
-
135 L27 EIM_A19 EIM_A19 GPIO2_IO19 GPIO2
-
136 M27 EIM_A18 EIM_A18 GPIO2_IO20 GPIO2
-
137 N26 EIM_EB0 EIM_EB0 GPIO2_IO28 GPIO2
-
138 U27 EIM_EB1 EIM_EB1 GPIO2_IO29 GPIO2
-
139 Y26 EIM_LBA CSPI2_CS1 GPIO2_IO27 GPIO2
-
140 AA27 EIM_D19 CSPI1_CS1 GPIO3_IO19 GPIO3
-
141 AC24 EIM_D20 EIM_D20 GPIO3_IO20 GPIO3
-
142 AD26 EIM_D30 USB_H1_OC GPIO3_IO30 GPIO3
-
143 AE27 EIM_D21 USB_OTG_OC GPIO3_IO21 GPIO3
-
144 E24 EIM_D22 USB_OTG_PWR_EN GPIO3_IO22 GPIO3
-
145 M25 EIM_DA1 EIM_DA1 GPIO3_IO01 GPIO3
-
146 M26 EIM_DA3 EIM_DA3 GPIO3_IO03 GPIO3
-
147 N25 EIM_DA2 EIM_DA2 GPIO3_IO02 GPIO3
-
148 N27 EIM_DA6 EIM_DA6 GPIO3_IO06 GPIO3
-
149 P25 EIM_DA8 EIM_DA8 GPIO3_IO08 GPIO3
-
150 P27 EIM_DA7 EIM_DA7 GPIO3_IO07 GPIO3
-
151 R25 EIM_DA15 EIM_DA15 GPIO3_IO15 GPIO3
-
152 R26 EIM_DA13 EIM_DA13 GPIO3_IO13 GPIO3
-
153 R27 EIM_DA12 EIM_DA12 GPIO3_IO12 GPIO3
-
154 T25 EIM_DA9 EIM_DA9 GPIO3_IO09 GPIO3
-
155 T26 EIM_DA5 EIM_DA5 GPIO3_IO05 GPIO3
-
156 T27 EIM_DA0 EIM_DA0 GPIO3_IO00 GPIO3
-
157 U25 EIM_DA10 EIM_DA10 GPIO3_IO10 GPIO3
-
158 U26 EIM_DA4 EIM_DA4 GPIO3_IO04 GPIO3
-
159 V26 EIM_DA14 EIM_DA14 GPIO3_IO14 GPIO3
-
160 V27 EIM_DA11 EIM_DA11 GPIO3_IO11 GPIO3
-
161 AD25 KEY_COL2 GPIO4_10 GPIO4_IO10 GPIO4
-
162 AF26 DI0_PIN4 DISP0_CNTRST GPIO4_IO20 GPIO4
-
163 Y27 GPIO_19 CSPI1_RDY GPIO4_IO05 GPIO4
-
164 J27 EIM_A24 EIM_A24 GPIO5_IO04 GPIO5
-
165 P26 EIM_WAIT EIM_WAIT GPIO5_IO00 GPIO5
-
166 U2 CSI0_DATA_EN CSI0_DATA_EN GPIO5_IO20 GPIO5
-
167 W25 EIM_A25 CSPI2_RDY GPIO5_IO02 GPIO5
-
168 A11 SD3_DAT7 SD3_DAT7 GPIO6_IO17 GPIO6
-
169 A13 SD3_DAT6 SD3_DAT6 GPIO6_IO18 GPIO6
-
170 A16 NANDF_RB0 GPIO6_10 GPIO6_IO10 GPIO6
-
171 A17 NANDF_ALE GPIO6_8 GPIO6_IO08 GPIO6
-
172 B16 NANDF_WP_B GPIO6_9 GPIO6_IO09 GPIO6
-
173 B17 NANDF_CS2 GPIO6_15 GPIO6_IO15 GPIO6
-
174 B19 NANDF_CS3 GPIO6_16 GPIO6_IO16 GPIO6
-
175 C15 NANDF_CS0 GPIO6_11 GPIO6_IO11 GPIO6
-
176 C16 NANDF_CS1 GPIO6_14 GPIO6_IO14 GPIO6
-
177 C17 NANDF_CLE GPIO6_7 GPIO6_IO07 GPIO6
-
178 L25 EIM_A23 EIM_A23 GPIO6_IO06 GPIO6
-
179 V25 EIM_BCLK EIM_BCLK GPIO6_IO31 GPIO6
-
180 B13 SD3_RST SD3_RST GPIO7_IO08 GPIO7
-
181 C11 SD3_DAT5 SD3_DAT5 GPIO7_IO00 GPIO7
-
182 C12 SD3_DAT4 SD3_DAT4 GPIO7_IO01 GPIO7
-
183 K1 HDMI_D1P HDMI_D1P HDMI_TX_DATA1_P HDMI
-
184 K2 HDMI_D1M HDMI_D1M HDMI_TX_DATA1_N HDMI
-
185 K3 KEY_ROW2 HDMI_CEC_IN HDMI_TX_CEC_LINE HDMI
-
186 L1 HDMI_D2M HDMI_D2M HDMI_TX_DATA2_N HDMI
-
187 L2 HDMI_D2P HDMI_D2P HDMI_TX_DATA2_P HDMI
-
188 L3 HDMI_HPD HDMI_HPD HDMI_TX_HPD HDMI
-
189 M1 HDMI_CLKM HDMI_CLKM HDMI_TX_CLK_N HDMI
-
190 M2 HDMI_CLKP HDMI_CLKP HDMI_TX_CLK_P HDMI
-
191 N1 HDMI_D0M HDMI_D0M HDMI_TX_DATA0_N HDMI
-
192 N2 HDMI_D0P HDMI_D0P HDMI_TX_DATA0_P HDMI
-
193 AE12 CSI0_DAT8 I2C1_SDA I2C1_SDA I2C1
-
194 AF12 CSI0_DAT9 I2C1_SCL I2C1_SCL I2C1
-
195 AE10 KEY_COL3 I2C2_SCL I2C2_SCL I2C2
-
196 AE11 KEY_ROW3 I2C2_SDA I2C2_SDA I2C2
-
197 AF10 GPIO_3 I2C3_SCL I2C3_SCL I2C3
-
198 AF11 GPIO_6 I2C3_SDA I2C3_SDA I2C3
-
199 AE13 DISP0_DAT21 DISP0_DAT21 IPU1_DISP0_DATA21 IPU1
-
200 AE14 DISP0_DAT4 DISP0_DAT4 IPU1_DISP0_DATA04 IPU1
-
201 AE15 DI0_DISP_CLK DISP0_CLK IPU1_DI0_DISP_CLK IPU1
-
202 AE16 DISP0_DAT16 DISP0_DAT16 IPU1_DISP0_DATA16 IPU1
-
203 AE17 DISP0_DAT8 DISP0_DAT8 IPU1_DISP0_DATA08 IPU1
-
204 AE18 DISP0_DAT15 DISP0_DAT15 IPU1_DISP0_DATA15 IPU1
-
205 AE19 DISP0_DAT11 DISP0_DAT11 IPU1_DISP0_DATA11 IPU1
-
206 AE20 DISP0_DAT18 DISP0_DAT18 IPU1_DISP0_DATA18 IPU1
-
207 AE21 DISP0_DAT19 DISP0_DAT19 IPU1_DISP0_DATA19 IPU1
-
208 AE22 DISP0_DAT17 DISP0_DAT17 IPU1_DISP0_DATA17 IPU1
-
209 AE23 DISP0_DAT9 DISP0_DAT9 IPU1_DISP0_DATA09 IPU1
-
210 AE24 DISP0_DAT5 DISP0_DAT5 IPU1_DISP0_DATA05 IPU1
-
211 AE25 DISP0_DAT2 DISP0_DAT2 IPU1_DISP0_DATA02 IPU1
-
212 AE26 DI0_PIN2 DISP0_HSYNCH IPU1_DI0_PIN02 IPU1
-
213 AF13 DISP0_DAT10 DISP0_DAT10 IPU1_DISP0_DATA10 IPU1
-
214 AF14 DISP0_DAT13 DISP0_DAT13 IPU1_DISP0_DATA13 IPU1
-
215 AF15 DISP0_DAT3 DISP0_DAT3 IPU1_DISP0_DATA03 IPU1
-
216 AF16 DI0_PIN3 DISP0_VSYNCH IPU1_DI0_PIN03 IPU1
-
217 AF17 DISP0_DAT20 DISP0_DAT20 IPU1_DISP0_DATA20 IPU1
-
218 AF18 DISP0_DAT1 DISP0_DAT1 IPU1_DISP0_DATA01 IPU1
-
219 AF19 DI0_PIN15 DISP0_DRDY IPU1_DI0_PIN15 IPU1
-
220 AF20 DISP0_DAT23 DISP0_DAT23 IPU1_DISP0_DATA23 IPU1
-
221 AF21 DISP0_DAT22 DISP0_DAT22 IPU1_DISP0_DATA22 IPU1
-
222 AF22 DISP0_DAT14 DISP0_DAT14 IPU1_DISP0_DATA14 IPU1
-
223 AF23 DISP0_DAT12 DISP0_DAT12 IPU1_DISP0_DATA12 IPU1
-
224 AF24 DISP0_DAT7 DISP0_DAT7 IPU1_DISP0_DATA07 IPU1
-
225 AF25 DISP0_DAT6 DISP0_DAT6 IPU1_DISP0_DATA06 IPU1
-
226 AF27 DISP0_DAT0 DISP0_DAT0 IPU1_DISP0_DATA00 IPU1
-
227 P1 CSI0_DAT14 CSI0_DAT14 IPU1_CSI0_DATA14 IPU1
-
228 P2 CSI0_DAT19 CSI0_DAT19 IPU1_CSI0_DATA19 IPU1
-
229 P3 CSI0_DAT16 CSI0_DAT16 IPU1_CSI0_DATA16 IPU1
-
230 R1 CSI0_DAT17 CSI0_DAT17 IPU1_CSI0_DATA17 IPU1
-
231 R2 CSI0_DAT13 CSI0_DAT13 IPU1_CSI0_DATA13 IPU1
-
232 R3 CSI0_DAT12 CSI0_DAT12 IPU1_CSI0_DATA12 IPU1
-
233 T1 CSI0_DAT15 CSI0_DAT15 IPU1_CSI0_DATA15 IPU1
-
234 T2 CSI0_DAT18 CSI0_DAT18 IPU1_CSI0_DATA18 IPU1
-
235 T3 CSI0_PIXCLK CSI0_PIX CLK IPU1_CSI0_PIXCLK IPU1
-
236 U1 CSI0_VSYNC CSI0_VSYNCH IPU1_CSI0_VSYNC IPU1
-
237 U3 CSI0_MCLK CSI0_HSYNCH IPU1_CSI0_HSYNC IPU1
-
238 AB1 LVDS0_TX0_N LVDS0_TX0_N LVDS0_DATA0_N LDB
-
239 AB2 LVDS0_TX0_P LVDS0_TX0_P LVDS0_DATA0_P LDB
-
240 AC1 LVDS0_CLK_P LVDS0_CLK_P LVDS0_CLK_P LDB
-
241 AC2 LVDS0_CLK_N LVDS0_CLK_N LVDS0_CLK_N LDB
-
242 AD1 LVDS0_TX1_N LVDS0_TX1_N LVDS0_DATA1_N LDB
-
243 AD2 LVDS0_TX1_P LVDS0_TX1_P LVDS0_DATA1_P LDB
-
244 AE1 LVDS0_TX2_P LVDS0_TX2_P LVDS0_DATA2_P LDB
-
245 AE2 LVDS0_TX2_N LVDS0_TX2_N LVDS0_DATA2_N LDB
-
246 AE3 LVDS1_TX0_N LVDS1_TX0_N LVDS1_DATA0_N LDB
-
247 AE4 LVDS1_TX1_P LVDS1_TX1_P LVDS1_DATA1_P LDB
-
248 AE5 LVDS1_TX2_N LVDS1_TX2_N LVDS1_DATA2_N LDB
-
249 AE6 LVDS1_TX3_N LVDS1_TX3_N LVDS1_DATA3_N LDB
-
250 AE7 LVDS1_CLK_N LVDS1_CLK_N LVDS1_CLK_N LDB
-
251 AF1 LVDS0_TX3_N LVDS0_TX3_N LVDS0_DATA3_N LDB
-
252 AF2 LVDS0_TX3_P LVDS0_TX3_P LVDS0_DATA3_P LDB
-
253 AF3 LVDS1_TX0_P LVDS1_TX0_P LVDS1_DATA0_P LDB
-
254 AF4 LVDS1_TX1_N LVDS1_TX1_N LVDS1_DATA1_N LDB
-
255 AF5 LVDS1_TX2_P LVDS1_TX2_P LVDS1_DATA2_P LDB
-
256 AF6 LVDS1_TX3_P LVDS1_TX3_P LVDS1_DATA3_P LDB
-
257 AF7 LVDS1_CLK_P LVDS1_CLK_P LVDS1_CLK_P LDB
-
258 D1 CSI_D1P CSI_D1P CSI_D1P MIPI_CSI
-
259 D2 CSI_D1M CSI_D1M CSI_D1M MIPI_CSI
-
260 D3 CSI_D2P CSI_D2P CSI_D2P MIPI_CSI
-
261 E1 CSI_D0P CSI_D0P CSI_D0P MIPI_CSI
-
262 E2 CSI_D0M CSI_D0M CSI_D0M MIPI_CSI
-
263 E3 CSI_D2M CSI_D2M CSI_D2M MIPI_CSI
-
264 F1 CSI_CLK0M CSI_CLK0M CSI_CLK0M MIPI_CSI
-
265 F2 CSI_CLK0P CSI_CLK0P CSI_CLK0P MIPI_CSI
-
266 F3 CSI_D3P CSI_D3P CSI_D3P MIPI_CSI
-
267 G3 CSI_D3M CSI_D3M CSI_D3M MIPI_CSI
-
268 G1 DSI_D0P DSI_D0P DSI_DATA0_P MIPI_DSI
-
269 G2 DSI_D0M DSI_D0M DSI_DATA0_N MIPI_DSI
-
270 H1 DSI_D1M DSI_D1M DSI_DATA1_N MIPI_DSI
-
271 H2 DSI_D1P DSI_D1P DSI_DATA1_P MIPI_DSI
-
272 J1 DSI_CLK0M DSI_CLK0M DSI_CLK0_N MIPI_DSI
-
273 J2 DSI_CLK0P DSI_CLK0P DSI_CLK0_P MIPI_DSI
-
274 A6 MLB_SP MLB_SP MLB_SIG_P MLB
-
275 A7 MLB_DP MLB_DP MLB_DATA_P MLB
-
276 B6 MLB_SN MLB_SN MLB_SIG_N MLB
-
277 B7 MLB_DN MLB_DN MLB_DATA_N MLB
-
278 C7 MLB_CP MLB_CP MLB_CLK_P MLB
-
279 C8 MLB_CN MLB_CN MLB_CLK_N MLB
-
280 A2 PCIE_RXM PCIE_RXM PCIE_RX_N PCIE
-
281 A3 PCIE_TXP PCIE_TXP PCIE_TX_P PCIE
-
282 B2 PCIE_RXP PCIE_RXP PCIE_RX_P PCIE
-
283 B3 PCIE_TXM PCIE_TXM PCIE_TX_N PCIE
-
284 U24 PMU_GPIO0 PMU_GPIO0 PMU_GPIO0 PMIC
-
285 V24 DETVSB_PMU DETVSB_PMU DETVSB_PMU PMIC
-
286 W24 HRESET_PMU HRESET_PMU HRESET_PMU PMIC
-
287 Y24 PWRON_PMU PWRON_PMU PWRON_PMU PMIC
-
288 C9 USB_H1_VBUS USB_H1_VBUS USB_H1_VBUS PMU
-
289 D6 USB_OTG_VBUS USB_OTG_VBUS USB_OTG_VBUS PMU
-
290 A21 SD1_DAT3 SD1_DAT3 PWM1_OUT PWM1
-
291 D15 RTC_BATT RTC_BATT RTC_BATT RTC_BATT
-
292 A10 SATA_RXP SATA_RXP SATA_PHY_RX_P SATA_PHY
-
293 A9 SATA_TXM SATA_TXN SATA_PHY_TX_N SATA_PHY
-
294 B10 SATA_RXM SATA_RXN SATA_PHY_RX_N SATA_PHY
-
295 B9 SATA_TXP SATA_TXP SATA_PHY_TX_P SATA_PHY
-
296 A1 JTAG_TMS JTAG_TMS JTAG_TMS SJC
-
297 B1 JTAG_TRSTB JTAG_TRSTB JTAG_TRSTB SJC
-
298 C1 JTAG_TDO JTAG_TDO JTAG_TDO SJC
-
299 C2 JTAG_TDI JTAG_TDI JTAG_TDI SJC
-
300 C3 JTAG_TCK JTAG_TCK JTAG_TCK SJC
-
301 A14 ONOFF MX6_ONOFF SRC_ONOFF SRC
-
302 B14 BOOT_MODE0 BOOT_MODE0 SRC_BOOT_MODE0 SRC
-
303 B15 BOOT_MODE1 BOOT_MODE1 SRC_BOOT_MODE1 SRC
-
304 M3 CSI0_DAT10 UART1_TX UART1_TX_DATA UART1
-
305 N3 CSI0_DAT11 UART1_RX UART1_RX_DATA UART1
-
306 H25 EIM_D28 UART2_CTS UART2_CTS_B UART2
-
307 H26 EIM_D27 UART2_RXD UART2_RX_DATA UART2
-
308 H27 EIM_D26 UART2_TXD UART2_TX_DATA UART2
-
309 J25 EIM_D29 UART2_RTS UART2_RTS_B UART2
-
310 F25 EIM_D25 UART3_RXD UART3_RX_DATA UART3
-
311 G25 EIM_D31 UART3_RTS UART3_RTS_B UART3
-
312 G26 EIM_D24 UART3_TXD UART3_TX_DATA UART3
-
313 G27 EIM_D23 UART3_CTS UART3_CTS_B UART3
-
314 AE8 KEY_COL0 UART4_TXD UART4_TX_DATA UART4
-
315 AF8 KEY_ROW0 UART4_RXD UART4_RX_DATA UART4
-
316 AE9 KEY_ROW1 UART5_RXD UART5_RX_DATA UART5
-
317 AF9 KEY_COL1 UART5_TXD UART5_TX_DATA UART5
-
318 A5 USB_OTG_DP USB_OTG_DP USB_OTG_DP USB
-
319 A8 USB_H1_DN USB_HOST_DN USB_H1_DN USB
-
320 B5 USB_OTG_DN USB_OTG_DN USB_OTG_DN USB
-
321 B8 USB_H1_DP USB_HOST_DP USB_H1_DP USB
-
322 C6 GPIO_1 USB_OTG_ID USB_OTG_ID USB
-
323 A12 SD3_DAT0 SD3_DAT0 SD3_DATA0 uSDHC3
-
324 B11 SD3_DAT1 SD3_DAT1 SD3_DATA1 uSDHC3
-
325 B12 SD3_DAT3 SD3_DAT3 SD3_DATA3 uSDHC3
-
326 C10 SD3_CLK SD3_CLK SD3_CLK uSDHC3
-
327 C13 SD3_DAT2 SD3_DAT2 SD3_DATA2 uSDHC3
-
328 C14 SD3_CMD SD3_CMD SD3_CMD uSDHC3
-
329 M23 VDD_3V3 VDD_3V3 VDD_3V3 VDD_3V3
-
330 M24 VDD_3V3 VDD_3V3 VDD_3V3 VDD_3V3
-
331 N24 VSNVS VSNVS VSNVS VSNVS
-
332 A15 GPIO_9 WDOG_B WDOG1_B WDOG1
-
333 A4 CLK1_P CLK1_P XTALOSC_CLK1_P XTALOSC
-
334 B4 CLK1_N CLK1_N XTALOSC_CLK1_N XTALOSC
-
335 C4 CLK2_N CLK2_N XTALOSC_CLK2_N XTALOSC
-
336 C5 CLK2_P CLK2_P XTALOSC_CLK2_P XTALOSC
}